Hot Search : Source embeded web remote control p2p game More...
Location : Home Search - keyboard
Search - keyboard - List
keyboard interfacing program
Update : 2024-05-17 Size : 8192 Publisher : Alok Kumar Singh

DL : 0
给予arm的zlg7289键盘驱动程序,调试通过-Giving arm of zlg7289 keyboard driver, debugging through
Update : 2024-05-17 Size : 6144 Publisher : zhuogirl

DL : 0
一般采用整排端口如P1做4X4矩阵,实际上有可能用到两排端口做6X6矩阵键盘,这里做了个6X6键盘-Generally use the whole row of ports, such as P1 to do 4X4 matrix, in fact, may be used to do two rows of 6X6 matrix keyboard port, here made a 6X6 keypad
Update : 2024-05-17 Size : 2048 Publisher : 丁度旭

通过PS2口连接键盘与FPGA,按动1-7数字键达到虚拟电子琴的效果-PS2 keyboard port connect to the FPGA ,press the number keys 1-7 to reach the effect of the virtual keyboard
Update : 2024-05-17 Size : 491520 Publisher : vivian

SCMPCMouse
DL : 0
PS2键盘接口程序,51单片机,PC鼠标的读取与显示-PS2 keyboard interface program, 51 SCM, PC mouse to read and display
Update : 2024-05-17 Size : 288768 Publisher : truefalse

DL : 0
单片机实验,阵列式键盘实验。具体的实验内容,原理和汇编程序。-SCM experiment, the keyboard array experiment. Specific experimental content, principles and assembler.
Update : 2024-05-17 Size : 48128 Publisher : 伊谧

DSP2812 键盘测试软件,已经通过测试并调试通过。-DSP2812 keyboard test software has been tested and debugged.
Update : 2024-05-17 Size : 147456 Publisher : 刘平

DL : 0
对sparten 3E fpga的板子的一个各个功能模块的多功能vhdl程序,包括键盘防抖,数字时钟等-Sparten 3E fpga of the board of a multi-purpose function modules vhdl procedures, including keyboard, image stabilization, digital clock, etc.
Update : 2024-05-17 Size : 2060288 Publisher : 邓民明

DL : 0
模拟键盘按键触发,可用于键盘按键事件的捕获。-Analog keyboard keys trigger
Update : 2024-05-17 Size : 156672 Publisher :

键盘功能的实现,主要用来显示键盘上所恩下的键对应的数字-keyboard
Update : 2024-05-17 Size : 574464 Publisher : num1

矩阵键盘扫描接口,使用Verilog编写-keyboard writed in Verilog
Update : 2024-05-17 Size : 1581056 Publisher : 潘映波

DL : 0
单片机的键盘ps/2源码,调试过了,很好用的-SCM keyboard ps/2 source, well used
Update : 2024-05-17 Size : 6144 Publisher : zhou

红色飓风的keyboard程序,我买的开发板的网站的历程-Red Hurricane keyboard procedure, I bought the course of the development board' s website
Update : 2024-05-17 Size : 52224 Publisher : bluesky

DL : 0
利用单片机实现键盘扫描 包括4*4键盘和6*8键盘-Scan the keyboard using MCU, including the 4* 4 type and 6* 8 type of keyboard
Update : 2024-05-17 Size : 3072 Publisher : 匣子

usb-device-hid-keyboard-project successfully tested on the at91sam9m10 microcontroller board.
Update : 2024-05-17 Size : 288768 Publisher : Alexander

keyboard interfacing with uC
Update : 2024-05-17 Size : 4096 Publisher : mahendra

DL : 0
AT89S52单片机,4*4键盘扫描与实现-AT89S52 microcontroller, 4* 4 keyboard scan and Implementation
Update : 2024-05-17 Size : 14336 Publisher : shunfeng

基于施密特触发的键盘接口电路,有效降低触发延迟,缩短键盘反应时间 以verilog实现-Schmitt trigger on the keyboard interface circuit, effectively reducing the trigger delay and shorten the reaction time to verilog implementation keyboard
Update : 2024-05-17 Size : 1102848 Publisher : 李拉

DL : 0
本程序主要是通过矩阵键盘来演示二进制数,内容简单,注释清晰详细-This procedure is mainly through the keyboard to demonstrate the binary matrix
Update : 2024-05-17 Size : 1024 Publisher : anode2009

DL : 0
一个测试键盘按键的软件,一个测试键盘按键的软件-A test keyboard software, a test of the software keyboard keys
Update : 2024-05-17 Size : 63488 Publisher : wangkai
« 1 2 ... 43 44 45 46 47 4849 50 »
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.