Hot Search : Source embeded web remote control p2p game More...
Location : Home SourceCode Embeded-SCM Develop Other Embeded program

Search in result

Other Embeded program list
Sort by :
« 1 2 ... .23 .24 .25 .26 .27 728.29 .30 .31 .32 .33 ... 2656 »
Downloaded:0
+-10V signal source, accuracy 0.5 , AVR microcontroller, can be used in the servo motor speed, and with a communication protocol
Update : 2024-10-04 Size : 3.47mb Publisher : lisng

Downloaded:0
Bicycle odometer, a graduate design, using 89C51 acquisition Hall sensor pulse number, the calculation speed, calories and other information.
Update : 2024-10-04 Size : 140kb Publisher : lisng

Downloaded:0
DIY digital bridge
Update : 2024-10-04 Size : 271kb Publisher : lisng

Downloaded:0
In ATmega16 microcontroller as the core, the design of a simple transistor characteristic curve analyzer. Including SCM process and PROTEUS simulation circuit file, the program has been run successfully for reference
Update : 2024-10-04 Size : 724kb Publisher : 心随飞翔

Downloaded:0
The code for ATmega16 internal AD converter driver for your reference
Update : 2024-10-04 Size : 103kb Publisher : 心随飞翔

Downloaded:0
In ATmega128 microcontroller as the core, to 65,536 for the external storage memory chips along the PROTEUS environment, voice storage and playback functions, procedures, and PROTEUS circuit simulation files are run succ
Update : 2024-10-04 Size : 108kb Publisher : 心随飞翔

Downloaded:0
The system is based on the core processor chip ATMEGA16L by LCD1602 LCD display, using 4X4 keyboard input, while using the speaker output sound, this design implements two through 16 key quality 16-note playing, music an
Update : 2024-10-04 Size : 353kb Publisher : 心随飞翔

Downloaded:0
The system is based on the core processor chip ATMEGA16L, mainly WTR-S4 voice chip, using 4X4 keyboard input command control voice chip, using the microphone recording, set the sampling frequency, while using the speaker
Update : 2024-10-04 Size : 192kb Publisher : 心随飞翔

Downloaded:0
: at present, the network writing has become a kind of culture, with a large number of published articles will also appear Series of problems, the article has some unhealthy, or to the state government of insults article
Update : 2024-10-04 Size : 779kb Publisher : su

Downloaded:0
automatic energy meter using proteus software
Update : 2024-10-04 Size : 1kb Publisher : manikandan

Downloaded:0
Source Code for Driving a LCD Display 320x240
Update : 2024-10-04 Size : 4kb Publisher : Jashua

Downloaded:0
Freescale car, drive module design
Update : 2024-10-04 Size : 3kb Publisher : jay
« 1 2 ... .23 .24 .25 .26 .27 728.29 .30 .31 .32 .33 ... 2656 »
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.