Hot Search : Source embeded web remote control p2p game More...
Location : Home Downloads SourceCode Communication-Mobile

FPGA-OFDM-VHDL

  • Category : Communication-Mobile
  • Tags :
  • Update : 2008-10-13
  • Size : 2.64mb
  • Downloaded :0次
  • Author :wang
  • About : wang
  • PS : If download it fails, try it again. Download again for free!
Introduction - If you have any usage issues, please Google them yourself
something useful for communication. source code based on FPGA
Packet file list
(Preview for download)
Packet : 71477211fpga-ofdm-vhdl.rar filelist
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\blockdram.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\cfft.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\cfft4.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\cfft_control.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\conj.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\counter.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\div4limit.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\FPGA Implementation of an OFDM Modem.ppt
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\input.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\interface.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\inv_control.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\io_control.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\juntos.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\modem.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\modem_summary.html
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\mulfactor.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\mux.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\mux_control.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\OFDM - portuguese.pdf
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\ofdm.ise
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\ofdm.ise_ISE_Backup
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\ofdm.npl
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\ofdm.npl_ISE_Backup
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\ofdm.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\ofdm_ise7_bak.zip
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\outconvert.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\output.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\p2r_cordic.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\p2r_CordicPipe.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\parallel.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\qam.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\qamdecoder.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\ram.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\ram_control.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\rofactor.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\rxmodem.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\sc_corproc.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\serial.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\serparser.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\starts.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\startup_timer.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\transcript
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\txmodem.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\txrx.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\tx_control.vhd
基于FPGA的OFDM信号传输系统VHDL源码\ofdm\_xmsgs
基于FPGA的OFDM信号传输系统VHDL源码\ofdm
基于FPGA的OFDM信号传输系统VHDL源码
Related instructions
  • We are an exchange download platform that only provides communication channels. The downloaded content comes from the internet. Except for download issues, please Google on your own.
  • The downloaded content is provided for members to upload. If it unintentionally infringes on your copyright, please contact us.
  • Please use Winrar for decompression tools
  • If download fail, Try it againg or Feedback to us.
  • If downloaded content did not match the introduction, Feedback to us,Confirm and will be refund.
  • Before downloading, you can inquire through the uploaded person information

Nothing.

Post Comment
*Quick comment Recommend Not bad Password Unclear description Not source
Lost files Unable to decompress Bad
*Content :
*Captcha :
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.