Hot Search : Source embeded web remote control p2p game More...
Location : Home Documents
Documents list
Sort by :
« 1 2 ... .43 .44 .45 .46 .47 16448.49 .50 .51 .52 .53 ... 16928 »
文档的目的是描述说明图书管理系统的编码规范和主要代码的实现。主要包括编码规范,命名规范,注释规范,语句声明等说明。还有功能实现的详细c#源代码
Update : 2009-05-07 Size : 232.5kb Publisher : haihui0406


Update : 2009-05-07 Size : 441.45kb Publisher : whosyourdaddy

Downloaded:0
华为的设计规范,很有参考价值
Update : 2009-05-07 Size : 350.67kb Publisher : richardhjc

Downloaded:0

Update : 2009-05-07 Size : 134kb Publisher : horrycgy

Downloaded:0

Update : 2009-05-07 Size : 2.34mb Publisher : andyyixi@126.com

Downloaded:2
系统任务描述:为物流公司管理货物信息及其流转信息,管理线路、站点信息、费用标准,为分公司提供方便有效的分检和选派车辆的功能。 任务目标: 1、 物流公司信息管理 2、 分站点信息管理 3、 收费标准信息管理 4、 线路信息管理 5、 货物信息管理 6、 包装材料信息管理、 7、 职工信息管理 8、 按目的站点分检 9、 按线路分检点 10、 选择到达分站点的车辆 11、 选择到达目的站点的车辆 12、 根据可载量和速度选择车辆分配货物
Update : 2009-05-08 Size : 888.48kb Publisher : xtzhoulu@163.com

电子商务客户网络购物行为挖掘.pdf
Update : 2009-05-08 Size : 304.61kb Publisher : lvjiaguo868@sina.com


Update : 2009-05-08 Size : 9.18kb Publisher : fjj027@163.com

Downloaded:0

Update : 2009-05-08 Size : 2.48mb Publisher : fjj027@163.com

Downloaded:0
4G无线网络技术,英文版,经典
Update : 2009-05-08 Size : 12.25mb Publisher : miss_but_lose@163.com

Downloaded:0
matlab实用建模教程
Update : 2009-05-08 Size : 158.22kb Publisher : zhbb101@126.com

library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买、选择、完成信号 coin0,coin1: i
Update : 2009-05-08 Size : 199.5kb Publisher : niuyuanlai@163.com
« 1 2 ... .43 .44 .45 .46 .47 16448.49 .50 .51 .52 .53 ... 16928 »
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.