Hot Search : Source embeded web remote control p2p game More...
Location : Home Books
Books list
Sort by :
« 1 2 ... .92 .93 .94 .95 .96 897.98 .99 .00 .01 .02 ... 1186 »
Downloaded:0

Update : 2010-12-07 Size : 498kb Publisher : world21@163.com

Downloaded:0
USBVGADOCK2[UV-185CB]规格新版说明
Update : 2010-12-07 Size : 589.12kb Publisher : wangbs2


Update : 2010-12-08 Size : 8.61mb Publisher : iamboy777

Downloaded:1
详细讲述了CH376芯片的内容
Update : 2010-12-08 Size : 347.85kb Publisher : rong_zhang

Downloaded:0
面试
Update : 2010-12-08 Size : 4.89mb Publisher : victoryoung@yeah.net

Downloaded:0

Update : 2010-12-08 Size : 12.7mb Publisher : wj0907zjwz@yahoo.com.cn

Downloaded:1
arduino 电子积木 电子书
Update : 2010-12-08 Size : 55.69mb Publisher : benny_nan

本书结合自动控制系统设计问题介绍了MATLAB软件及SIMULINK仿真软件的一般使用方法。该书分为两大部分。第一部分,介绍了MATLAB常用命令、控制系统工具箱及simulink仿真工具软件。第二部分介绍了MATLAB软件程序的分析和设计方法,如状态空间设计方法、数字控制、线性二次型控制和控制系统设计举例等。总之设计了MATLAB各个方面的命令。覆盖了在控制方面较广的论题。
Update : 2010-12-08 Size : 1.97mb Publisher : mylovelgy@126.com

Downloaded:0
Hadoop Definitive Guide是关于Hadoop及其相关项目的经典介绍书目。
Update : 2010-12-08 Size : 3.34mb Publisher : lishidahappy@163.com

LPC2368开发板原理图+数据手册.rar
Update : 2010-12-08 Size : 3.6mb Publisher : a300su37f22@163.com

Downloaded:2
tft模块显示文档
Update : 2010-12-08 Size : 11.34mb Publisher : redp6873359@163.com

Downloaded:0
适合数据库入门的资料
Update : 2010-12-09 Size : 31.12mb Publisher : liuyajune@sina.cn
« 1 2 ... .92 .93 .94 .95 .96 897.98 .99 .00 .01 .02 ... 1186 »
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.