Hot Search : Source embeded web remote control p2p game More...
Location : Home Downloads SourceCode Embeded-SCM Develop Other Embeded program
  • Category : Other Embeded program
  • Tags :
  • Update : 2016-05-31
  • Size : 5.14mb
  • Downloaded :0次
  • Author :王浩正
  • About : Nobody
  • PS : If download it fails, try it again. Download again for free!
Introduction - If you have any usage issues, please Google them yourself
AXL345 hardware and driver code based on Zynq
Packet file list
(Preview for download)


I2CXYZ\I2CXYZ.cache\wt\java_command_handlers.wdf
......\............\..\synthesis.wdf
......\............\..\synthesis_details.wdf
......\............\..\webtalk_pa.xml
......\.......runs\.jobs\vrs_config_1.xml
......\...........\.....\vrs_config_10.xml
......\...........\.....\vrs_config_11.xml
......\...........\.....\vrs_config_12.xml
......\...........\.....\vrs_config_2.xml
......\...........\.....\vrs_config_3.xml
......\...........\.....\vrs_config_4.xml
......\...........\.....\vrs_config_5.xml
......\...........\.....\vrs_config_6.xml
......\...........\.....\vrs_config_7.xml
......\...........\.....\vrs_config_8.xml
......\...........\.....\vrs_config_9.xml
......\...........\impl_1\.init_design.begin.rst
......\...........\......\.init_design.end.rst
......\...........\......\.opt_design.begin.rst
......\...........\......\.opt_design.end.rst
......\...........\......\.place_design.begin.rst
......\...........\......\.place_design.end.rst
......\...........\......\.route_design.begin.rst
......\...........\......\.route_design.end.rst
......\...........\......\.vivado.begin.rst
......\...........\......\.vivado.end.rst
......\...........\......\.Vivado_Implementation.queue.rst
......\...........\......\.write_bitstream.begin.rst
......\...........\......\.write_bitstream.end.rst
......\...........\......\design_1_wrapper.bit
......\...........\......\design_1_wrapper.dcp
......\...........\......\design_1_wrapper.sysdef
......\...........\......\design_1_wrapper.tcl
......\...........\......\design_1_wrapper.vdi
......\...........\......\design_1_wrapper_12192.backup.vdi
......\...........\......\design_1_wrapper_14368.backup.vdi
......\...........\......\design_1_wrapper_21696.backup.vdi
......\...........\......\design_1_wrapper_clock_utilization_placed.rpt
......\...........\......\design_1_wrapper_control_sets_placed.rpt
......\...........\......\design_1_wrapper_drc_opted.rpt
......\...........\......\design_1_wrapper_drc_routed.pb
......\...........\......\design_1_wrapper_drc_routed.rpt
......\...........\......\design_1_wrapper_io_placed.rpt
......\...........\......\design_1_wrapper_opt.dcp
......\...........\......\design_1_wrapper_placed.dcp
......\...........\......\design_1_wrapper_power_routed.rpt
......\...........\......\design_1_wrapper_power_summary_routed.pb
......\...........\......\design_1_wrapper_routed.dcp
......\...........\......\design_1_wrapper_route_status.pb
......\...........\......\design_1_wrapper_route_status.rpt
......\...........\......\design_1_wrapper_timing_summary_routed.rpt
......\...........\......\design_1_wrapper_timing_summary_routed.rpx
......\...........\......\design_1_wrapper_utilization_placed.pb
......\...........\......\design_1_wrapper_utilization_placed.rpt
......\...........\......\gen_run.xml
......\...........\......\htr.txt
......\...........\......\init_design.pb
......\...........\......\ISEWrap.js
......\...........\......\ISEWrap.sh
......\...........\......\opt_design.pb
......\...........\......\place_design.pb
......\...........\......\project.wdf
......\...........\......\route_design.pb
......\...........\......\rundef.js
......\...........\......\runme.bat
......\...........\......\runme.log
......\...........\......\runme.sh
......\...........\......\usage_statistics_webtalk.html
......\...........\......\usage_statistics_webtalk.xml
......\...........\......\vivado.jou
......\...........\......\vivado.pb
......\...........\......\vivado_12192.backup.jou
......\...........\......\vivado_14368.backup.jou
......\...........\......\vivado_21696.backup.jou
......\...........\......\vivado_23672.backup.jou
......\...........\......\write_bitstream.pb
......\...........\synth_1\.vivado.begin.rst
......\...........\.......\.vivado.end.rst
......\...........\.......\.Vivado_Synthesis.queue.rst
......\...........\.......\.Xil\design_1_wrapper_propImpl.xdc
......\...........\.......\design_1_wrapper.dcp
......\...........\.......\design_1_wrapper.hwdef
......\...........\.......\design_1_wrapper.tcl
......\...........\.......\design_1_wrapper.vds
.
Related instructions
  • We are an exchange download platform that only provides communication channels. The downloaded content comes from the internet. Except for download issues, please Google on your own.
  • The downloaded content is provided for members to upload. If it unintentionally infringes on your copyright, please contact us.
  • Please use Winrar for decompression tools
  • If download fail, Try it againg or Feedback to us.
  • If downloaded content did not match the introduction, Feedback to us,Confirm and will be refund.
  • Before downloading, you can inquire through the uploaded person information

Nothing.

Post Comment
*Quick comment Recommend Not bad Password Unclear description Not source
Lost files Unable to decompress Bad
*Content :
*Captcha :
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.