Hot Search : Source embeded web remote control p2p game More...
Location : Home Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog

mys-xc7z020-trd

  • Category : VHDL-FPGA-Verilog
  • Tags :
  • Update : 2017-11-22
  • Size : 7.11mb
  • Downloaded :0次
  • Author :ratfink
  • About : Nobody
  • PS : If download it fails, try it again. Download again for free!
Introduction - If you have any usage issues, please Google them yourself
Zturn Board verilog source. Headless.
Packet file list
(Preview for download)
FilenameSizeUpdate
mys-xc7z020-trd\mys-xc7z020-trd.sim\sim_1\behav\elaborate.log 771 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.sim\sim_1\behav\compile.bat 282 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.sim\sim_1\behav\xsim.dir\xsim.svtype 8 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.sim\sim_1\behav\xsim.dir\xil_defaultlib\mys_xc7z010_trd.sdb 10592 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.sim\sim_1\behav\xsim.dir\xil_defaultlib\glbl.sdb 3625 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.sim\sim_1\behav\xelab.pb 1248 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.sim\sim_1\behav\xvlog.pb 1090 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.sim\sim_1\behav\mys_xc7z010_trd_vlog.prj 220 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.sim\sim_1\behav\glbl.v 1470 2014-12-19
mys-xc7z020-trd\mys-xc7z020-trd.sim\sim_1\behav\compile.log 673 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.sim\sim_1\behav\elaborate.bat 412 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\.vivado.begin.rst 170 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\runme.bat 229 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\vivado.jou 533 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\runme.log 107571 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\vivado.pb 153925 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\design_1_wrapper_utilization_synth.pb 231 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\design_1_wrapper.dcp 121471 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\gen_run.xml 2556 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\.Vivado_Synthesis.queue.rst
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\ISEWrap.js 4766 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\htr.txt 384 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\design_1_wrapper_utilization_synth.rpt 7239 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\ISEWrap.sh 1622 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\design_1_wrapper.tcl 2100 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\.vivado.end.rst
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\.Xil\design_1_wrapper_propImpl.xdc 677 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\runme.sh 1143 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\design_1_wrapper.vds 106868 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\design_1_wrapper.hwdef 347635 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\dont_touch.xdc 1782 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\synth_1\rundef.js 1314 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\init_design.pb 3314 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper_drc_routed.pb 37 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper.vdi 24860 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\.vivado.begin.rst 170 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\write_bitstream.pb 1736 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\runme.bat 229 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper_route_status.rpt 651 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper_timing_summary_routed.rpx 8186 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\vivado.jou 540 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\.Vivado_Implementation.queue.rst
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper_io_placed.rpt 99587 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper_power_summary_routed.pb 675 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\.route_design.end.rst
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\.write_bitstream.end.rst
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\runme.log 25328 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper_utilization_placed.rpt 9340 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\vivado.pb 129 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper_528.backup.vdi 24022 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\gen_run.xml 5324 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper_routed.dcp 406104 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper_route_status.pb 44 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\.write_bitstream.begin.rst 171 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\project.wdf 1838 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\.opt_design.end.rst
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\opt_design.pb 5270 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper_placed.dcp 362466 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper_drc_routed.rpt 3220 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\place_design.pb 21596 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\ISEWrap.js 4766 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper_timing_summary_routed.rpt 9259 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper_utilization_placed.pb 231 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\.init_design.end.rst
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\htr.txt 401 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper_clock_utilization_placed.rpt 12137 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\.place_design.begin.rst 171 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\.route_design.begin.rst 171 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper.sysdef 359202 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\.opt_design.begin.rst 171 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper_control_sets_placed.rpt 3161 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper_drc_opted.rpt 3220 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\ISEWrap.sh 1622 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper.tcl 5144 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\.init_design.begin.rst 171 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\.vivado.end.rst
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper_opt.dcp 352680 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\vivado_528.backup.jou 552 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\runme.sh 1216 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper_power_routed.rpt 8740 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\.place_design.end.rst
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\rundef.js 1394 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\design_1_wrapper.bit 4045676 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\impl_1\route_design.pb 12538 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\.jobs\vrs_config_10.xml 439 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.runs\.jobs\vrs_config_5.xml 232 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.runs\.jobs\vrs_config_2.xml 232 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.runs\.jobs\vrs_config_4.xml 232 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.runs\.jobs\vrs_config_3.xml 232 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.runs\.jobs\vrs_config_7.xml 253 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.runs\.jobs\vrs_config_9.xml 439 2015-08-10
mys-xc7z020-trd\mys-xc7z020-trd.runs\.jobs\vrs_config_8.xml 439 2014-10-08
mys-xc7z020-trd\mys-xc7z020-trd.runs\.jobs\vrs_config_6.xml 246 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.runs\.jobs\vrs_config_1.xml 232 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.srcs\constrs_1\new\system.xdc 11653 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.srcs\sources_1\bd\design_1\ip\design_1_util_vector_logic_0_2\design_1_util_vector_logic_0_2.xml 17623 2015-08-11
mys-xc7z020-trd\mys-xc7z020-trd.srcs\sources_1\bd\design_1\ip\design_1_util_vector_logic_0_2\doc\util_vector_logic_v2_0_changelog.txt 2424 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.srcs\sources_1\bd\design_1\ip\design_1_util_vector_logic_0_2\design_1_util_vector_logic_0_2.veo 3057 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.srcs\sources_1\bd\design_1\ip\design_1_util_vector_logic_0_2\design_1_util_vector_logic_0_2.xci 3709 2015-04-02
mys-xc7z020-trd\mys-xc7z020-trd.srcs\sources_1\bd\design_1\ip\design_1_util_vector_logic_0_2\sim\design_1_util_vector_logic_0_2.vhd 3521 2015-04-02
Related instructions
  • We are an exchange download platform that only provides communication channels. The downloaded content comes from the internet. Except for download issues, please Google on your own.
  • The downloaded content is provided for members to upload. If it unintentionally infringes on your copyright, please contact us.
  • Please use Winrar for decompression tools
  • If download fail, Try it againg or Feedback to us.
  • If downloaded content did not match the introduction, Feedback to us,Confirm and will be refund.
  • Before downloading, you can inquire through the uploaded person information

Nothing.

Post Comment
*Quick comment Recommend Not bad Password Unclear description Not source
Lost files Unable to decompress Bad
*Content :
*Captcha :
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.