Hot Search : Source embeded web remote control p2p game More...
Location : Home Downloads Other resource
  • Category : Other resource
  • Tags :
  • Update : 2008-10-13
  • Size : 2.74mb
  • Downloaded :0次
  • Author :孙冰
  • About : 孙冰
  • PS : If download it fails, try it again. Download again for free!
Introduction - If you have any usage issues, please Google them yourself
Taxi-source accounting system, including the simulation results, the transfer quartusii pass.
Packet file list
(Preview for download)
Packet : 63535287texi.rar filelist
LAB32\altera_vhdl_support.vhd
LAB32\build.mak
LAB32\build.sh
LAB32\clkgen.bsf
LAB32\clkgen.vhd
LAB32\cmp_state.ini
LAB32\cpu.ocp
LAB32\cpu.vhd
LAB32\cpu_test_bench.vhd
LAB32\db\add_sub_2vh.tdf
LAB32\db\add_sub_44i.tdf
LAB32\db\add_sub_54i.tdf
LAB32\db\add_sub_64i.tdf
LAB32\db\add_sub_6m8.tdf
LAB32\db\add_sub_74i.tdf
LAB32\db\add_sub_7m8.tdf
LAB32\db\add_sub_84i.tdf
LAB32\db\add_sub_8i8.tdf
LAB32\db\add_sub_8m8.tdf
LAB32\db\add_sub_94i.tdf
LAB32\db\add_sub_9m8.tdf
LAB32\db\add_sub_a4i.tdf
LAB32\db\add_sub_am8.tdf
LAB32\db\add_sub_b4i.tdf
LAB32\db\add_sub_bi8.tdf
LAB32\db\add_sub_bm8.tdf
LAB32\db\add_sub_cm8.tdf
LAB32\db\add_sub_dm8.tdf
LAB32\db\add_sub_em8.tdf
LAB32\db\add_sub_ggi.tdf
LAB32\db\add_sub_k0i.tdf
LAB32\db\add_sub_k2i.tdf
LAB32\db\add_sub_l0i.tdf
LAB32\db\add_sub_lj8.tdf
LAB32\db\add_sub_m0i.tdf
LAB32\db\add_sub_m2i.tdf
LAB32\db\add_sub_mn8.tdf
LAB32\db\add_sub_n0i.tdf
LAB32\db\add_sub_nn8.tdf
LAB32\db\add_sub_o2i.tdf
LAB32\db\add_sub_on8.tdf
LAB32\db\add_sub_pj8.tdf
LAB32\db\add_sub_pn8.tdf
LAB32\db\add_sub_q2i.tdf
LAB32\db\add_sub_qn8.tdf
LAB32\db\add_sub_r2i.tdf
LAB32\db\add_sub_rn8.tdf
LAB32\db\altsyncram_fh01.tdf
LAB32\db\altsyncram_tp92.tdf
LAB32\db\altsyncram_vuo1.tdf
LAB32\db\alt_u_div_1td.tdf
LAB32\db\alt_u_div_33e.tdf
LAB32\db\alt_u_div_53e.tdf
LAB32\db\alt_u_div_l2e.tdf
LAB32\db\alt_u_div_rvd.tdf
LAB32\db\cntr_278.tdf
LAB32\db\cntr_3a9.tdf
LAB32\db\cntr_b09.tdf
LAB32\db\cntr_fv7.tdf
LAB32\db\cntr_p5a.tdf
LAB32\db\decode_1oa.tdf
LAB32\db\decode_rpe.tdf
LAB32\db\lab14.(0).cnf.cdb
LAB32\db\lab14.(0).cnf.hdb
LAB32\db\lab14.(1).cnf.cdb
LAB32\db\lab14.(1).cnf.hdb
LAB32\db\lab14.(10).cnf.cdb
LAB32\db\lab14.(10).cnf.hdb
LAB32\db\lab14.(11).cnf.cdb
LAB32\db\lab14.(11).cnf.hdb
LAB32\db\lab14.(12).cnf.cdb
LAB32\db\lab14.(12).cnf.hdb
LAB32\db\lab14.(13).cnf.cdb
LAB32\db\lab14.(13).cnf.hdb
LAB32\db\lab14.(14).cnf.cdb
LAB32\db\lab14.(14).cnf.hdb
LAB32\db\lab14.(15).cnf.cdb
LAB32\db\lab14.(15).cnf.hdb
LAB32\db\lab14.(16).cnf.cdb
LAB32\db\lab14.(16).cnf.hdb
LAB32\db\lab14.(17).cnf.cdb
LAB32\db\lab14.(17).cnf.hdb
LAB32\db\lab14.(18).cnf.cdb
LAB32\db\lab14.(18).cnf.hdb
LAB32\db\lab14.(19).cnf.cdb
LAB32\db\lab14.(19).cnf.hdb
LAB32\db\lab14.(2).cnf.cdb
LAB32\db\lab14.(2).cnf.hdb
LAB32\db\lab14.(20).cnf.cdb
LAB32\db\lab14.(20).cnf.hdb
LAB32\db\lab14.(21).cnf.cdb
LAB32\db\lab14.(21).cnf.hdb
LAB32\db\lab14.(22).cnf.cdb
LAB32\db\lab14.(22).cnf.hdb
LAB32\db\lab14.(3).cnf.cdb
LAB32\db\lab14.(3).cnf.hdb
LAB32\db\lab14.(4).cnf.cdb
LAB32\db\lab14.(4).cnf.hdb
LAB32\db\lab14.(5).cnf.cdb
LAB32\db\lab14.(5).cnf.hdb
LAB32\db\lab14.(6).cnf.cdb
LAB32\db\lab14.(6).cnf.hdb
LAB32\db\lab14.(7).cnf.cdb
LAB32\db\lab14.(7).cnf.hdb
LAB32\db\lab14.(8).cnf.cdb
LAB32\db\lab14.(8).cnf.hdb
LAB32\db\lab14.(9).cnf.cdb
LAB32\db\lab14.(9).cnf.hdb
LAB32\db\lab14.cbx.xml
LAB32\db\lab14.cmp.rdb
LAB32\db\lab14.db_info
LAB32\db\lab14.eco.cdb
LAB32\db\lab14.hier_info
LAB32\db\lab14.hif
LAB32\db\lab14.map.cdb
LAB32\db\lab14.map.hdb
LAB32\db\lab14.map.logdb
LAB32\db\lab14.map.qmsg
LAB32\db\lab14.pre_map.cdb
LAB32\db\lab14.pre_map.hdb
LAB32\db\lab14.psp
LAB32\db\lab14.rtlv.hdb
LAB32\db\lab14.rtlv_sg.cdb
LAB32\db\lab14.rtlv_sg_swap.cdb
LAB32\db\lab14.sgdiff.cdb
LAB32\db\lab14.sgdiff.hdb
LAB32\db\lab14.sld_design_entry.sci
LAB32\db\lab14.sld_design_entry_dsc.sci
LAB32\db\lab14.syn_hier_info
LAB32\db\lpm_divide_2of.tdf
LAB32\db\lpm_divide_8lf.tdf
LAB32\db\lpm_divide_9of.tdf
LAB32\db\lpm_divide_aof.tdf
LAB32\db\lpm_divide_lmf.tdf
LAB32\db\mux_2kb.tdf
LAB32\db\mux_5kc.tdf
LAB32\db\sign_div_unsign_2jg.tdf
LAB32\db\sign_div_unsign_fkg.tdf
LAB32\db\sign_div_unsign_lhg.tdf
LAB32\db\sign_div_unsign_mkg.tdf
LAB32\db\sign_div_unsign_nkg.tdf
LAB32\db\small.db_info
LAB32\db\small.eco.cdb
LAB32\db\small.sim.vwf
LAB32\db\small.sld_design_entry.sci
LAB32\db\small_cmp.qrpt
LAB32\db\small_sim.qrpt
LAB32\db\wed.wsf
LAB32\DDJF.bsf
LAB32\ddjf.vhd
LAB32\ddjs.bsf
LAB32\ddjs.vhd
LAB32\DDPB.bsf
LAB32\DDPB.VHD
LAB32\dse\result\lab14.base.flow.rpt
LAB32\dse\result\lab14.base.map.rpt
LAB32\dse\result\results.csv
LAB32\dubscript.txt
LAB32\lab14.dse.rpt
LAB32\lab14.flow.rpt
LAB32\lab14.map.eqn
LAB32\lab14.map.rpt
LAB32\lab14.map.summary
LAB32\lab14.qsf
LAB32\lab14.qws
LAB32\lab14.vhd
LAB32\LCJF.bsf
LAB32\LCJF.vhd
LAB32\LCJS.bsf
LAB32\LCJS.vhd
LAB32\led_pio.vhd
LAB32\onchip_ram.hex
LAB32\onchip_ram.vhd
LAB32\output_file.map
LAB32\output_file.pof
LAB32\pin_assignment_script.txt
LAB32\quartus_nativelink_simulation.log
LAB32\quartus_sh.out
LAB32\readme.txt
LAB32\rf_ram.mif
LAB32\SCKZ.bsf
LAB32\SCKZ.vhd
LAB32\simulation\modelsim\modelsim.ini
LAB32\simulation\modelsim\modelsim_work\atom_pack\body.asm
LAB32\simulation\modelsim\modelsim_work\atom_pack\body.dat
LAB32\simulation\modelsim\modelsim_work\atom_pack\_primary.dat
LAB32\simulation\modelsim\modelsim_work\atom_pack\_vhdl.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_and1\altvital.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_and1\altvital.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_and1\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_asmiblock\architecture_asmiblock.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_asmiblock\architecture_asmiblock.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_asmiblock\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_asynch_io\behave.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_asynch_io\behave.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_asynch_io\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_clkctrl\vital_clkctrl.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_clkctrl\vital_clkctrl.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_clkctrl\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_clk_delay_cal_ctrl\vital_clk_delay_cal_ctrl.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_clk_delay_cal_ctrl\vital_clk_delay_cal_ctrl.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_clk_delay_cal_ctrl\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_clk_delay_ctrl\vital_clk_delay_ctrl.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_clk_delay_ctrl\vital_clk_delay_ctrl.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_clk_delay_ctrl\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_components\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_components\_vhdl.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_crcblock\architecture_crcblock.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_crcblock\architecture_crcblock.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_crcblock\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_dffe\behave.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_dffe\behave.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_dffe\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_ena_reg\behave.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_ena_reg\behave.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_ena_reg\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_io\structure.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_io\structure.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_io\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_jtag\architecture_jtag.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_jtag\architecture_jtag.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_jtag\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_lcell_comb\vital_lcell_comb.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_lcell_comb\vital_lcell_comb.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_lcell_comb\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_lcell_ff\vital_lcell_ff.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_lcell_ff\vital_lcell_ff.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_lcell_ff\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_mac_data_reg\vital_cycloneii_mac_data_reg.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_mac_data_reg\vital_cycloneii_mac_data_reg.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_mac_data_reg\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_mac_mult\vital_cycloneii_mac_mult.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_mac_mult\vital_cycloneii_mac_mult.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_mac_mult\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_mac_out\vital_cycloneii_mac_out.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_mac_out\vital_cycloneii_mac_out.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_mac_out\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_mac_sign_reg\cycloneii_mac_sign_reg.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_mac_sign_reg\cycloneii_mac_sign_reg.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_mac_sign_reg\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_mn_cntr\behave.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_mn_cntr\behave.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_mn_cntr\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_mux21\altvital.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_mux21\altvital.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_mux21\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_mux41\altvital.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_mux41\altvital.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_mux41\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_pll\vital_pll.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_pll\vital_pll.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_pll\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_pll_reg\behave.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_pll_reg\behave.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_pll_reg\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_ram_block\block_arch.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_ram_block\block_arch.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_ram_block\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_ram_pulse_generator\pgen_arch.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_ram_pulse_generator\pgen_arch.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_ram_pulse_generator\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_ram_register\reg_arch.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_ram_register\reg_arch.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_ram_register\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_routing_wire\behave.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_routing_wire\behave.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_routing_wire\_primary.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_scale_cntr\behave.asm
LAB32\simulation\modelsim\modelsim_work\cycloneii_scale_cntr\behave.dat
LAB32\simulation\modelsim\modelsim_work\cycloneii_scale_cntr\_primary.dat
LAB32\simulation\modelsim\modelsim_work\pllpack\body.asm
LAB32\simulation\modelsim\modelsim_work\pllpack\body.dat
LAB32\simulation\modelsim\modelsim_work\pllpack\_primary.dat
LAB32\simulation\modelsim\modelsim_work\pllpack\_vhdl.asm
LAB32\simulation\modelsim\modelsim_work\small\structure.asm
LAB32\simulation\modelsim\modelsim_work\small\structure.dat
LAB32\simulation\modelsim\modelsim_work\small\_primary.dat
LAB32\simulation\modelsim\modelsim_work\_info
LAB32\simulation\modelsim\small.vho
LAB32\simulation\modelsim\small_modelsim.xrf
LAB32\simulation\modelsim\small_vhd.sdo
LAB32\simulation\modelsim\transcript
LAB32\simulation\modelsim\vsim.wlf
LAB32\small.asm.rpt
LAB32\small.asm.rpt.htm
LAB32\small.bdf
LAB32\small.cdf
LAB32\small.done
LAB32\small.eda.rpt
LAB32\small.fit.eqn
LAB32\small.fit.eqn.htm
LAB32\small.fit.rpt
LAB32\small.fit.rpt.htm
LAB32\small.fit.summary
LAB32\small.fld
LAB32\small.flow.rpt
LAB32\small.flow.rpt.htm
LAB32\small.map.eqn
LAB32\small.map.eqn.htm
LAB32\small.map.rpt
LAB32\small.map.rpt.htm
LAB32\small.map.summary
LAB32\small.pin
LAB32\small.pof
LAB32\small.ppl
LAB32\small.qpf
LAB32\small.qsf
LAB32\small.qws
LAB32\small.sim-final.vwf
LAB32\small.sim.rpt
LAB32\small.sim.vwf
LAB32\small.sof
LAB32\small.tan.rpt
LAB32\small.tan.rpt.htm
LAB32\small.tan.summary
LAB32\small.vht
LAB32\small.vwf
LAB32\small_.tcl
LAB32\small_2C35.bsf
LAB32\small_2C35.ptf
LAB32\small_2C35.vhd
LAB32\small_2C35_generation_script
LAB32\small_2C35_log.txt
LAB32\small_2C35_setup_quartus.tcl
LAB32\small_assignment_defaults.qdf
LAB32\stp1.stp
LAB32\taxi.bsf
LAB32\taxi.vhd
LAB32\simulation\modelsim\modelsim_work\atom_pack
LAB32\simulation\modelsim\modelsim_work\cycloneii_and1
LAB32\simulation\modelsim\modelsim_work\cycloneii_asmiblock
LAB32\simulation\modelsim\modelsim_work\cycloneii_asynch_io
LAB32\simulation\modelsim\modelsim_work\cycloneii_clkctrl
LAB32\simulation\modelsim\modelsim_work\cycloneii_clk_delay_cal_ctrl
LAB32\simulation\modelsim\modelsim_work\cycloneii_clk_delay_ctrl
LAB32\simulation\modelsim\modelsim_work\cycloneii_components
LAB32\simulation\modelsim\modelsim_work\cycloneii_crcblock
LAB32\simulation\modelsim\modelsim_work\cycloneii_dffe
LAB32\simulation\modelsim\modelsim_work\cycloneii_ena_reg
LAB32\simulation\modelsim\modelsim_work\cycloneii_io
LAB32\simulation\modelsim\modelsim_work\cycloneii_jtag
LAB32\simulation\modelsim\modelsim_work\cycloneii_lcell_comb
LAB32\simulation\modelsim\modelsim_work\cycloneii_lcell_ff
LAB32\simulation\modelsim\modelsim_work\cycloneii_mac_data_reg
LAB32\simulation\modelsim\modelsim_work\cycloneii_mac_mult
LAB32\simulation\modelsim\modelsim_work\cycloneii_mac_out
LAB32\simulation\modelsim\modelsim_work\cycloneii_mac_sign_reg
LAB32\simulation\modelsim\modelsim_work\cycloneii_mn_cntr
LAB32\simulation\modelsim\modelsim_work\cycloneii_mux21
LAB32\simulation\modelsim\modelsim_work\cycloneii_mux41
LAB32\simulation\modelsim\modelsim_work\cycloneii_pll
LAB32\simulation\modelsim\modelsim_work\cycloneii_pll_reg
LAB32\simulation\modelsim\modelsim_work\cycloneii_ram_block
LAB32\simulation\modelsim\modelsim_work\cycloneii_ram_pulse_generator
LAB32\simulation\modelsim\modelsim_work\cycloneii_ram_register
LAB32\simulation\modelsim\modelsim_work\cycloneii_routing_wire
LAB32\simulation\modelsim\modelsim_work\cycloneii_scale_cntr
LAB32\simulation\modelsim\modelsim_work\pllpack
LAB32\simulation\modelsim\modelsim_work\small
LAB32\simulation\modelsim\modelsim_work
LAB32\dse\result
LAB32\simulation\modelsim
LAB32\db
LAB32\dse
LAB32\simulation
LAB32
Related instructions
  • We are an exchange download platform that only provides communication channels. The downloaded content comes from the internet. Except for download issues, please Google on your own.
  • The downloaded content is provided for members to upload. If it unintentionally infringes on your copyright, please contact us.
  • Please use Winrar for decompression tools
  • If download fail, Try it againg or Feedback to us.
  • If downloaded content did not match the introduction, Feedback to us,Confirm and will be refund.
  • Before downloading, you can inquire through the uploaded person information

Nothing.

Post Comment
*Quick comment Recommend Not bad Password Unclear description Not source
Lost files Unable to decompress Bad
*Content :
*Captcha :
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.