Hot Search : Source embeded web remote control p2p game More...
Location : Home Downloads Other resource

8051core

  • Category : Other resource
  • Tags :
  • Update : 2008-10-13
  • Size : 319.38kb
  • Downloaded :0次
  • Author :侯典华
  • About : 侯典华
  • PS : If download it fails, try it again. Download again for free!
Introduction - If you have any usage issues, please Google them yourself
8051 kernel code (verilog language), we share
Packet file list
(Preview for download)
Packet : 595643758051core.rar filelist
8051核\DW8051\DW8051\DW8051_package.inc
8051核\DW8051\DW8051\DW8051_parameter.v
8051核\DW8051\DW8051\vssver.scc
8051核\DW8051\DW8051
8051核\DW8051\DW01_add.v
8051核\DW8051\DW01_addsub.v
8051核\DW8051\DW01_cmp2.v
8051核\DW8051\DW01_sub.v
8051核\DW8051\DW02_mult.v
8051核\DW8051\DW8051_alu.v
8051核\DW8051\DW8051_biu.v
8051核\DW8051\DW8051_control.v
8051核\DW8051\DW8051_core.v
8051核\DW8051\DW8051_cpu.v
8051核\DW8051\DW8051_intr_0.v
8051核\DW8051\DW8051_intr_1.v
8051核\DW8051\DW8051_main_regs.v
8051核\DW8051\DW8051_op_decoder.v
8051核\DW8051\DW8051_serial.v
8051核\DW8051\DW8051_shftreg.v
8051核\DW8051\DW8051_timer2.v
8051核\DW8051\DW8051_timer.v
8051核\DW8051\DW8051_timer_ctr.v
8051核\DW8051\DW8051_u_ctr_clr.v
8051核\DW8051\DW8051_updn_ctr.v
8051核\DW8051
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_acc.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_alu.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_alu_src1_sel.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_alu_src2_sel.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_alu_src3_sel.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_comp.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_cy_select.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_decoder.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_defines.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_divide.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_dptr.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_ext_addr_sel.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_fpga_tb.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_fpga_top.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_immediate_sel.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_indi_addr.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_multiply.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_op_select.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_pc.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_port_out.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_psw.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_ram_rd_sel.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_ram_sel.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_ram_sel1.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_ram_top.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_ram_top1.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_ram_wr_sel.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_reg1.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_reg2.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_reg3.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_reg4.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_reg5.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_reg8.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_rom_addr_sel.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_sp.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_tb.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_timescale.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_top.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\oc8051_top1.v
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog\read me.txt
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl\verilog
8051核\8051的Verilog源代码\8051的Verilog源代码\8051_rtl
8051核\8051的Verilog源代码\8051的Verilog源代码\asm\test.asm
8051核\8051的Verilog源代码\8051的Verilog源代码\asm
8051核\8051的Verilog源代码\8051的Verilog源代码\bench\verilog\oc8051_defines.v
8051核\8051的Verilog源代码\8051的Verilog源代码\bench\verilog\oc8051_fpga_tb.v
8051核\8051的Verilog源代码\8051的Verilog源代码\bench\verilog\oc8051_tb.v
8051核\8051的Verilog源代码\8051的Verilog源代码\bench\verilog\oc8051_timescale.v
8051核\8051的Verilog源代码\8051的Verilog源代码\bench\verilog
8051核\8051的Verilog源代码\8051的Verilog源代码\bench
8051核\8051的Verilog源代码\8051的Verilog源代码\sim\rtl_sim\out\VERILOG.LOG
8051核\8051的Verilog源代码\8051的Verilog源代码\sim\rtl_sim\out
8051核\8051的Verilog源代码\8051的Verilog源代码\sim\rtl_sim\run\MAKE
8051核\8051的Verilog源代码\8051的Verilog源代码\sim\rtl_sim\run\make_fpga
8051核\8051的Verilog源代码\8051的Verilog源代码\sim\rtl_sim\run
8051核\8051的Verilog源代码\8051的Verilog源代码\sim\rtl_sim\src\verilog\oc8051_ram.v
8051核\8051的Verilog源代码\8051的Verilog源代码\sim\rtl_sim\src\verilog\oc8051_rom.v
8051核\8051的Verilog源代码\8051的Verilog源代码\sim\rtl_sim\src\verilog
8051核\8051的Verilog源代码\8051的Verilog源代码\sim\rtl_sim\src
8051核\8051的Verilog源代码\8051的Verilog源代码\sim\rtl_sim
8051核\8051的Verilog源代码\8051的Verilog源代码\sim
8051核\8051的Verilog源代码\8051的Verilog源代码\syn\log\oc8051_top.srr
8051核\8051的Verilog源代码\8051的Verilog源代码\syn\log
8051核\8051的Verilog源代码\8051的Verilog源代码\syn\out\oc8051.ucf
8051核\8051的Verilog源代码\8051的Verilog源代码\syn\out\oc8051_top.bit
8051核\8051的Verilog源代码\8051的Verilog源代码\syn\out\oc8051_top.srm
8051核\8051的Verilog源代码\8051的Verilog源代码\syn\out\oc8051_top.srs
8051核\8051的Verilog源代码\8051的Verilog源代码\syn\out\read.me
8051核\8051的Verilog源代码\8051的Verilog源代码\syn\out
8051核\8051的Verilog源代码\8051的Verilog源代码\syn\src\verilog\disp.v
8051核\8051的Verilog源代码\8051的Verilog源代码\syn\src\verilog\oc8051_fpga_top.v
8051核\8051的Verilog源代码\8051的Verilog源代码\syn\src\verilog\oc8051_ram.v
8051核\8051的Verilog源代码\8051的Verilog源代码\syn\src\verilog\oc8051_rom.v
8051核\8051的Verilog源代码\8051的Verilog源代码\syn\src\verilog\read me.txt
8051核\8051的Verilog源代码\8051的Verilog源代码\syn\src\verilog
8051核\8051的Verilog源代码\8051的Verilog源代码\syn\src
8051核\8051的Verilog源代码\8051的Verilog源代码\syn
8051核\8051的Verilog源代码\8051的Verilog源代码
8051核\8051的Verilog源代码
8051核
Related instructions
  • We are an exchange download platform that only provides communication channels. The downloaded content comes from the internet. Except for download issues, please Google on your own.
  • The downloaded content is provided for members to upload. If it unintentionally infringes on your copyright, please contact us.
  • Please use Winrar for decompression tools
  • If download fail, Try it againg or Feedback to us.
  • If downloaded content did not match the introduction, Feedback to us,Confirm and will be refund.
  • Before downloading, you can inquire through the uploaded person information

Nothing.

Post Comment
*Quick comment Recommend Not bad Password Unclear description Not source
Lost files Unable to decompress Bad
*Content :
*Captcha :
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.