Hot Search : Source embeded web remote control p2p game More...
Location : Home Downloads SourceCode Embeded-SCM Develop

srio_6.25Gx4

  • Category : Embeded-SCM Develop
  • Tags :
  • Update : 2020-08-28
  • Size : 43.02mb
  • Downloaded :0次
  • Author :panda316
  • About : Nobody
  • PS : If download it fails, try it again. Download again for free!
Introduction - If you have any usage issues, please Google them yourself
SRIO data sending and receiving, nwrite or swrite data sending and receiving are modified on the eg of 6.25gx4. Only the sending module is modified, and the receiving module can be used directly. There are instructions in it for reference only. Useful reply likes
Packet file list
(Preview for download)
FilenameSizeUpdate
srio说明.docx 354930 2020-08-28
srio_gen2_0_ex\imports\instruction_list.vh 9416 2020-08-26
srio_gen2_0_ex\imports\maintenance_list.vh 34587 2020-08-23
srio_gen2_0_ex\imports\srio_example_top_srio_gen2_0.v 39780 2020-08-26
srio_gen2_0_ex\imports\srio_gen2_0.xdc 2873 2020-08-23
srio_gen2_0_ex\imports\srio_quick_start_srio_gen2_0.v 22164 2020-08-23
srio_gen2_0_ex\imports\srio_report.v 6556 2020-08-23
srio_gen2_0_ex\imports\srio_request_gen_srio_gen2_0.v 11866 2020-08-28
srio_gen2_0_ex\imports\srio_response_gen_srio_gen2_0.v 17840 2020-08-23
srio_gen2_0_ex\imports\srio_sim.v 10350 2020-08-23
srio_gen2_0_ex\imports\srio_statistics_srio_gen2_0.v 17489 2020-08-23
srio_gen2_0_ex\srio_gen2_0_ex.cache\wt\gui_handlers.wdf 3767 2020-08-28
srio_gen2_0_ex\srio_gen2_0_ex.cache\wt\java_command_handlers.wdf 854 2020-08-28
srio_gen2_0_ex\srio_gen2_0_ex.cache\wt\project.wpc 61 2020-08-28
srio_gen2_0_ex\srio_gen2_0_ex.cache\wt\synthesis.wdf 5434 2020-08-28
srio_gen2_0_ex\srio_gen2_0_ex.cache\wt\synthesis_details.wdf 100 2020-08-28
srio_gen2_0_ex\srio_gen2_0_ex.cache\wt\webtalk_pa.xml 4076 2020-08-28
srio_gen2_0_ex\srio_gen2_0_ex.hw\srio_gen2_0_ex.lpr 290 2020-08-23
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\ip\fifo_generator_0\fifo_generator_0.veo 3897 2020-08-28
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\ip\fifo_generator_0\fifo_generator_0.vho 4013 2020-08-28
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\ip\fifo_generator_0\fifo_generator_0_stub.v 1827 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\ip\fifo_generator_0\fifo_generator_0_stub.vhdl 1975 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\ip\srio_gen2_0\srio_gen2_0.veo 13039 2020-08-28
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\ip\srio_gen2_0\srio_gen2_0.vho 11497 2020-08-28
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\ip\vio_0\vio_0.veo 2930 2020-08-28
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\ip\vio_0\vio_0.vho 3134 2020-08-28
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\ip\vio_0\vio_0_stub.v 1196 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\ip\vio_0\vio_0_stub.vhdl 1230 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\ipstatic\hdl\fifo_generator_v13_2_rfs.v 596650 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\ipstatic\hdl\fifo_generator_v13_2_rfs.vhd 1448087 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\ipstatic\hdl\srio_gen2_v4_1_rfs.v 2374322 2020-08-23
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\ipstatic\hdl\srio_gen2_v4_1_rfs.vhd 294198 2020-08-23
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\ipstatic\simulation\blk_mem_gen_v8_4.v 171347 2020-08-23
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\ipstatic\simulation\fifo_generator_vlog_beh.v 452184 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\README.txt 130 2020-08-23
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\activehdl\compile.do 971 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\activehdl\fifo_generator_0.sh 5000 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\activehdl\fifo_generator_0.udo 0 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\activehdl\file_info.txt 809 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\activehdl\glbl.v 1474 2019-11-07
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\activehdl\README.txt 2216 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\activehdl\simulate.do 353 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\activehdl\wave.do 32 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\ies\fifo_generator_0.sh 5759 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\ies\file_info.txt 809 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\ies\glbl.v 1474 2019-11-07
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\ies\README.txt 2157 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\ies\run.f 789 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\modelsim\compile.do 321 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\modelsim\fifo_generator_0.sh 5122 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\modelsim\fifo_generator_0.udo 0 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\modelsim\file_info.txt 167 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\modelsim\glbl.v 1474 2019-11-07
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\modelsim\modelsim.ini 160798 2020-06-09
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\modelsim\README.txt 2216 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\modelsim\simulate.do 351 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\modelsim\wave.do 32 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\questa\compile.do 1022 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\questa\elaborate.do 223 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\questa\fifo_generator_0.sh 5271 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\questa\fifo_generator_0.udo 0 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\questa\file_info.txt 809 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\questa\glbl.v 1474 2019-11-07
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\questa\README.txt 2216 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\questa\simulate.do 209 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\questa\wave.do 32 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\README.txt 3236 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\riviera\compile.do 957 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\riviera\fifo_generator_0.sh 4997 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\riviera\fifo_generator_0.udo 0 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\riviera\file_info.txt 809 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\riviera\glbl.v 1474 2019-11-07
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\riviera\README.txt 2216 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\riviera\simulate.do 353 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\riviera\wave.do 32 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\vcs\fifo_generator_0.sh 7553 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\vcs\file_info.txt 809 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\vcs\glbl.v 1474 2019-11-07
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\vcs\README.txt 2216 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\vcs\simulate.do 11 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\xcelium\fifo_generator_0.sh 5768 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\xcelium\file_info.txt 809 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\xcelium\glbl.v 1474 2019-11-07
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\xcelium\README.txt 2157 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\xcelium\run.f 817 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\xsim\cmd.tcl 464 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\xsim\elab.opt 228 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\xsim\fifo_generator_0.sh 6471 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\xsim\file_info.txt 167 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\xsim\glbl.v 1474 2019-11-07
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\xsim\README.txt 2216 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\xsim\vhdl.prj 10 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\xsim\vlog.prj 162 2020-08-26
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\fifo_generator_0\xsim\xsim.ini 26650 2019-11-07
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\srio_gen2_0\activehdl\compile.do 2645 2020-08-23
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\srio_gen2_0\activehdl\file_info.txt 2931 2020-08-23
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\srio_gen2_0\activehdl\glbl.v 1474 2019-11-07
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\srio_gen2_0\activehdl\README.txt 2191 2020-08-23
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\srio_gen2_0\activehdl\simulate.do 380 2020-08-23
srio_gen2_0_ex\srio_gen2_0_ex.ip_user_files\sim_scripts\srio_gen2_0\activehdl\srio_gen2_0.sh 4853 2020-08-23
Related instructions
  • We are an exchange download platform that only provides communication channels. The downloaded content comes from the internet. Except for download issues, please Google on your own.
  • The downloaded content is provided for members to upload. If it unintentionally infringes on your copyright, please contact us.
  • Please use Winrar for decompression tools
  • If download fail, Try it againg or Feedback to us.
  • If downloaded content did not match the introduction, Feedback to us,Confirm and will be refund.
  • Before downloading, you can inquire through the uploaded person information

Nothing.

Post Comment
*Quick comment Recommend Not bad Password Unclear description Not source
Lost files Unable to decompress Bad
*Content :
*Captcha :
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.