Hot Search : Source embeded web remote control p2p game More...
Location : Home Downloads Other resource

51corevhdl

  • Category : Other resource
  • Tags :
  • Update : 2008-10-13
  • Size : 922.44kb
  • Downloaded :0次
  • Author :傅林
  • About : 傅林
  • PS : If download it fails, try it again. Download again for free!
Introduction - If you have any usage issues, please Google them yourself
51 microcontroller core VHDL achieve Xilinx Platform
Packet file list
(Preview for download)
Packet : 1389838851corevhdl.rar filelist
51corevhdl\ipcore51\mc8051\dc\.synopsys_dc.setup
51corevhdl\ipcore51\mc8051\dc\command.log
51corevhdl\ipcore51\mc8051\dc\db
51corevhdl\ipcore51\mc8051\dc\lib
51corevhdl\ipcore51\mc8051\dc\log
51corevhdl\ipcore51\mc8051\dc\reports
51corevhdl\ipcore51\mc8051\dc\scr\synth_core_dc.scr
51corevhdl\ipcore51\mc8051\dc\scr
51corevhdl\ipcore51\mc8051\dc\synthesize_mc8051_core
51corevhdl\ipcore51\mc8051\dc
51corevhdl\ipcore51\mc8051\docu\8051_overview.pdf
51corevhdl\ipcore51\mc8051\docu\comp_orig_ipcore.pdf
51corevhdl\ipcore51\mc8051\docu
51corevhdl\ipcore51\mc8051\msim\mc8051_rom.dua
51corevhdl\ipcore51\mc8051\msim\mc8051_tb_compile.do
51corevhdl\ipcore51\mc8051\msim\mc8051_tb_sim.do
51corevhdl\ipcore51\mc8051\msim\write2file.do
51corevhdl\ipcore51\mc8051\msim
51corevhdl\ipcore51\mc8051\scr\dc\synth_core_dc.scr
51corevhdl\ipcore51\mc8051\scr\dc
51corevhdl\ipcore51\mc8051\scr\msim\mc8051_tb_compile.do
51corevhdl\ipcore51\mc8051\scr\msim\mc8051_tb_sim.do
51corevhdl\ipcore51\mc8051\scr\msim\write2file.do
51corevhdl\ipcore51\mc8051\scr\msim
51corevhdl\ipcore51\mc8051\scr\synpl\mc8051_core.prj
51corevhdl\ipcore51\mc8051\scr\synpl
51corevhdl\ipcore51\mc8051\scr
51corevhdl\ipcore51\mc8051\synpl\mc8051_core.prj
51corevhdl\ipcore51\mc8051\synpl
51corevhdl\ipcore51\mc8051\tb\mc8051_ramx_.vhd
51corevhdl\ipcore51\mc8051\tb\mc8051_ramx_sim.vhd
51corevhdl\ipcore51\mc8051\tb\mc8051_ramx_sim_cfg.vhd
51corevhdl\ipcore51\mc8051\tb\mc8051_ram_.vhd
51corevhdl\ipcore51\mc8051\tb\mc8051_ram_sim.vhd
51corevhdl\ipcore51\mc8051\tb\mc8051_ram_sim_cfg.vhd
51corevhdl\ipcore51\mc8051\tb\mc8051_rom_.vhd
51corevhdl\ipcore51\mc8051\tb\mc8051_rom_sim.vhd
51corevhdl\ipcore51\mc8051\tb\mc8051_rom_sim_cfg.vhd
51corevhdl\ipcore51\mc8051\tb\tb_mc8051_alu_.vhd
51corevhdl\ipcore51\mc8051\tb\tb_mc8051_alu_sim.vhd
51corevhdl\ipcore51\mc8051\tb\tb_mc8051_alu_sim_cfg.vhd
51corevhdl\ipcore51\mc8051\tb\tb_mc8051_siu_.vhd
51corevhdl\ipcore51\mc8051\tb\tb_mc8051_siu_sim.vhd
51corevhdl\ipcore51\mc8051\tb\tb_mc8051_siu_sim_cfg.vhd
51corevhdl\ipcore51\mc8051\tb\tb_mc8051_tmrctr_.vhd
51corevhdl\ipcore51\mc8051\tb\tb_mc8051_tmrctr_sim.vhd
51corevhdl\ipcore51\mc8051\tb\tb_mc8051_tmrctr_sim_cfg.vhd
51corevhdl\ipcore51\mc8051\tb\tb_mc8051_top_.vhd
51corevhdl\ipcore51\mc8051\tb\tb_mc8051_top_sim.vhd
51corevhdl\ipcore51\mc8051\tb\tb_mc8051_top_sim_cfg.vhd
51corevhdl\ipcore51\mc8051\tb
51corevhdl\ipcore51\mc8051\version.txt
51corevhdl\ipcore51\mc8051\vhdl\addsub_core_.vhd
51corevhdl\ipcore51\mc8051\vhdl\addsub_core_struc.vhd
51corevhdl\ipcore51\mc8051\vhdl\addsub_core_struc_cfg.vhd
51corevhdl\ipcore51\mc8051\vhdl\addsub_cy_.vhd
51corevhdl\ipcore51\mc8051\vhdl\addsub_cy_rtl.vhd
51corevhdl\ipcore51\mc8051\vhdl\addsub_cy_rtl_cfg.vhd
51corevhdl\ipcore51\mc8051\vhdl\addsub_ovcy_.vhd
51corevhdl\ipcore51\mc8051\vhdl\addsub_ovcy_rtl.vhd
51corevhdl\ipcore51\mc8051\vhdl\addsub_ovcy_rtl_cfg.vhd
51corevhdl\ipcore51\mc8051\vhdl\alucore_.vhd
51corevhdl\ipcore51\mc8051\vhdl\alucore_rtl.vhd
51corevhdl\ipcore51\mc8051\vhdl\alucore_rtl_cfg.vhd
51corevhdl\ipcore51\mc8051\vhdl\alumux_.vhd
51corevhdl\ipcore51\mc8051\vhdl\alumux_rtl.vhd
51corevhdl\ipcore51\mc8051\vhdl\alumux_rtl_cfg.vhd
51corevhdl\ipcore51\mc8051\vhdl\comb_divider_.vhd
51corevhdl\ipcore51\mc8051\vhdl\comb_divider_rtl.vhd
51corevhdl\ipcore51\mc8051\vhdl\comb_divider_rtl_cfg.vhd
51corevhdl\ipcore51\mc8051\vhdl\comb_mltplr_.vhd
51corevhdl\ipcore51\mc8051\vhdl\comb_mltplr_rtl.vhd
51corevhdl\ipcore51\mc8051\vhdl\comb_mltplr_rtl_cfg.vhd
51corevhdl\ipcore51\mc8051\vhdl\control_fsm_.vhd
51corevhdl\ipcore51\mc8051\vhdl\control_fsm_rtl.vhd
51corevhdl\ipcore51\mc8051\vhdl\control_fsm_rtl_cfg.vhd
51corevhdl\ipcore51\mc8051\vhdl\control_mem_.vhd
51corevhdl\ipcore51\mc8051\vhdl\control_mem_rtl.vhd
51corevhdl\ipcore51\mc8051\vhdl\control_mem_rtl_cfg.vhd
51corevhdl\ipcore51\mc8051\vhdl\dcml_adjust_.vhd
51corevhdl\ipcore51\mc8051\vhdl\dcml_adjust_rtl.vhd
51corevhdl\ipcore51\mc8051\vhdl\dcml_adjust_rtl_cfg.vhd
51corevhdl\ipcore51\mc8051\vhdl\LGPL.TXT
51corevhdl\ipcore51\mc8051\vhdl\mc8051_alu_.vhd
51corevhdl\ipcore51\mc8051\vhdl\mc8051_alu_struc.vhd
51corevhdl\ipcore51\mc8051\vhdl\mc8051_alu_struc_cfg.vhd
51corevhdl\ipcore51\mc8051\vhdl\mc8051_control_.vhd
51corevhdl\ipcore51\mc8051\vhdl\mc8051_control_struc.vhd
51corevhdl\ipcore51\mc8051\vhdl\mc8051_control_struc_cfg.vhd
51corevhdl\ipcore51\mc8051\vhdl\mc8051_core_.vhd
51corevhdl\ipcore51\mc8051\vhdl\mc8051_core_struc.vhd
51corevhdl\ipcore51\mc8051\vhdl\mc8051_core_struc_cfg.vhd
51corevhdl\ipcore51\mc8051\vhdl\mc8051_p.vhd
51corevhdl\ipcore51\mc8051\vhdl\mc8051_siu_.vhd
51corevhdl\ipcore51\mc8051\vhdl\mc8051_siu_rtl.vhd
51corevhdl\ipcore51\mc8051\vhdl\mc8051_siu_rtl_cfg.vhd
51corevhdl\ipcore51\mc8051\vhdl\mc8051_tmrctr_.vhd
51corevhdl\ipcore51\mc8051\vhdl\mc8051_tmrctr_rtl.vhd
51corevhdl\ipcore51\mc8051\vhdl\mc8051_tmrctr_rtl_cfg.vhd
51corevhdl\ipcore51\mc8051\vhdl\mc8051_top_.vhd
51corevhdl\ipcore51\mc8051\vhdl\mc8051_top_struc.vhd
51corevhdl\ipcore51\mc8051\vhdl\mc8051_top_struc_cfg.vhd
51corevhdl\ipcore51\mc8051\vhdl
51corevhdl\ipcore51\mc8051\vss
51corevhdl\ipcore51\mc8051
51corevhdl\ipcore51\8051\doc\c8051.pdf
51corevhdl\ipcore51\8051\doc\c8051_a.pdf
51corevhdl\ipcore51\8051\doc\c8051_b.pdf
51corevhdl\ipcore51\8051\doc\c8051_dsn.pdf
51corevhdl\ipcore51\8051\doc\c8051_spec.pdf
51corevhdl\ipcore51\8051\doc
51corevhdl\ipcore51\8051\src\tb\chip\chip8051.vhd
51corevhdl\ipcore51\8051\src\tb\chip\chipoci.vhd
51corevhdl\ipcore51\8051\src\tb\chip\chippad.vhd
51corevhdl\ipcore51\8051\src\tb\chip\chipram.vhd
51corevhdl\ipcore51\8051\src\tb\chip\chiprom.vhd
51corevhdl\ipcore51\8051\src\tb\chip\chipsfr.vhd
51corevhdl\ipcore51\8051\src\tb\chip
51corevhdl\ipcore51\8051\src\tb\env\extacs.vhd
51corevhdl\ipcore51\8051\src\tb\env\extclock.vhd
51corevhdl\ipcore51\8051\src\tb\env\extcomp.vhd
51corevhdl\ipcore51\8051\src\tb\env\extlatch.vhd
51corevhdl\ipcore51\8051\src\tb\env\extram.vhd
51corevhdl\ipcore51\8051\src\tb\env\extrom.vhd
51corevhdl\ipcore51\8051\src\tb\env\extshift.vhd
51corevhdl\ipcore51\8051\src\tb\env\extstim.vhd
51corevhdl\ipcore51\8051\src\tb\env
51corevhdl\ipcore51\8051\src\tb\tb.vhd
51corevhdl\ipcore51\8051\src\tb
51corevhdl\ipcore51\8051\src\core\alu.vhd
51corevhdl\ipcore51\8051\src\core\c8051.vhd
51corevhdl\ipcore51\8051\src\core\c8051_cfg.vhd
51corevhdl\ipcore51\8051\src\core\clkctrl.vhd
51corevhdl\ipcore51\8051\src\core\cpu.vhd
51corevhdl\ipcore51\8051\src\core\isr.vhd
51corevhdl\ipcore51\8051\src\core\memctrl.vhd
51corevhdl\ipcore51\8051\src\core\oci.vhd
51corevhdl\ipcore51\8051\src\core\ports.vhd
51corevhdl\ipcore51\8051\src\core\ramsfrctrl.vhd
51corevhdl\ipcore51\8051\src\core\serial.vhd
51corevhdl\ipcore51\8051\src\core\timer.vhd
51corevhdl\ipcore51\8051\src\core\utility.vhd
51corevhdl\ipcore51\8051\src\core
51corevhdl\ipcore51\8051\src
51corevhdl\ipcore51\8051\tools\aldec\macros\readme.txt
51corevhdl\ipcore51\8051\tools\aldec\macros\add_wave.do
51corevhdl\ipcore51\8051\tools\aldec\macros\cre_comp.do
51corevhdl\ipcore51\8051\tools\aldec\macros\ex_tests.do
51corevhdl\ipcore51\8051\tools\aldec\macros\op_tests.do
51corevhdl\ipcore51\8051\tools\aldec\macros\pe_tests.do
51corevhdl\ipcore51\8051\tools\aldec\macros\pe_tests_25.do
51corevhdl\ipcore51\8051\tools\aldec\macros
51corevhdl\ipcore51\8051\tools\aldec
51corevhdl\ipcore51\8051\tools\synopsys\dc_scripts\a_run.scr
51corevhdl\ipcore51\8051\tools\synopsys\dc_scripts\alu.scr
51corevhdl\ipcore51\8051\tools\synopsys\dc_scripts\c8051.scr
51corevhdl\ipcore51\8051\tools\synopsys\dc_scripts\clkctrl.scr
51corevhdl\ipcore51\8051\tools\synopsys\dc_scripts\compile.scr
51corevhdl\ipcore51\8051\tools\synopsys\dc_scripts\cpu.scr
51corevhdl\ipcore51\8051\tools\synopsys\dc_scripts\isr.scr
51corevhdl\ipcore51\8051\tools\synopsys\dc_scripts\memctrl.scr
51corevhdl\ipcore51\8051\tools\synopsys\dc_scripts\oci.scr
51corevhdl\ipcore51\8051\tools\synopsys\dc_scripts\ports.scr
51corevhdl\ipcore51\8051\tools\synopsys\dc_scripts\ramsfrctrl.scr
51corevhdl\ipcore51\8051\tools\synopsys\dc_scripts\serial.scr
51corevhdl\ipcore51\8051\tools\synopsys\dc_scripts\timer.scr
51corevhdl\ipcore51\8051\tools\synopsys\dc_scripts
51corevhdl\ipcore51\8051\tools\synopsys\netlists
51corevhdl\ipcore51\8051\tools\synopsys\reports
51corevhdl\ipcore51\8051\tools\synopsys
51corevhdl\ipcore51\8051\tools\mti\ee_macros\readme.txt
51corevhdl\ipcore51\8051\tools\mti\ee_macros\compile.do
51corevhdl\ipcore51\8051\tools\mti\ee_macros\simulate.do
51corevhdl\ipcore51\8051\tools\mti\ee_macros
51corevhdl\ipcore51\8051\tools\mti\ee_reports
51corevhdl\ipcore51\8051\tools\mti\ee_scripts\readme.txt
51corevhdl\ipcore51\8051\tools\mti\ee_scripts\ex_tests.bat
51corevhdl\ipcore51\8051\tools\mti\ee_scripts\op_tests.bat
51corevhdl\ipcore51\8051\tools\mti\ee_scripts\pe_tests.bat
51corevhdl\ipcore51\8051\tools\mti\ee_scripts\pe_tests_25.bat
51corevhdl\ipcore51\8051\tools\mti\ee_scripts\ex_tests.scr
51corevhdl\ipcore51\8051\tools\mti\ee_scripts\op_tests.scr
51corevhdl\ipcore51\8051\tools\mti\ee_scripts\pe_tests.scr
51corevhdl\ipcore51\8051\tools\mti\ee_scripts
51corevhdl\ipcore51\8051\tools\mti
51corevhdl\ipcore51\8051\tools
51corevhdl\ipcore51\8051\tests\default\acscomp.txt
51corevhdl\ipcore51\8051\tests\default\acsdiff.txt
51corevhdl\ipcore51\8051\tests\default\extrom.hex
51corevhdl\ipcore51\8051\tests\default\introm.hex
51corevhdl\ipcore51\8051\tests\default\simcomp.txt
51corevhdl\ipcore51\8051\tests\default\simdiff.txt
51corevhdl\ipcore51\8051\tests\default\stim.txt
51corevhdl\ipcore51\8051\tests\default\test.asm
51corevhdl\ipcore51\8051\tests\default\time.txt
51corevhdl\ipcore51\8051\tests\default
51corevhdl\ipcore51\8051\tests
51corevhdl\ipcore51\8051
51corevhdl\ipcore51
51corevhdl
Related instructions
  • We are an exchange download platform that only provides communication channels. The downloaded content comes from the internet. Except for download issues, please Google on your own.
  • The downloaded content is provided for members to upload. If it unintentionally infringes on your copyright, please contact us.
  • Please use Winrar for decompression tools
  • If download fail, Try it againg or Feedback to us.
  • If downloaded content did not match the introduction, Feedback to us,Confirm and will be refund.
  • Before downloading, you can inquire through the uploaded person information

Nothing.

Post Comment
*Quick comment Recommend Not bad Password Unclear description Not source
Lost files Unable to decompress Bad
*Content :
*Captcha :
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.